Space Invaders FPGA Game
Mcu

Space Invaders FPGA Game

Patsiatzis Nikos and Katsaros Nikos build the space invaders game using a ZedBoard FPGA. The project's code is available on github. This two person project was completed through the course of Embedded Systems at the University of Thessaly, Department of Computer Engineering. In...

Continue Reading
6.452
Views
0 Comments
Consolite – a Tiny Game Console on an FPGA
Mcu

Consolite – a Tiny Game Console on an FPGA

Robert Fotino has design a video game system on a FPGA. He writes: For my latest project, I am diving back into Verilog to create the hardware side of Consolite. For those who don't know, Consolite is the name I've given to my design of a tiny hobbyist game console and associated...

Continue Reading
5.490
Views
0 Comments
ATtiny85 Game Console
Mcu

ATtiny85 Game Console

webboggles.com has designed a SSD1306 OLED Screen based game kit using an ATtiny85 microcontroller. They also sell it as a kit to assemble it yourself. The new snap case has been updated to allow enough height for the screen header as well as a factory made CR2032 battery...

Continue Reading
8.217
Views
0 Comments
Arduino Project: Flappy Bird game Clone with a 1.8″ color TFT display (ST7735)
Arduino

Arduino Project: Flappy Bird game Clone with a 1.8″ color TFT display (ST7735)

Educ8s.com @ youtube.com: Playing the Flappy Bird game on Arduino is extremely easy. With a cheap Arduino Uno and a very cheap 1.8" color TFT display (ST7735) you can enjoy the classic game, the best part? It is going to be a DIY project. Actually you can build your own gaming...

Continue Reading
7.624
Views
0 Comments
Get new posts by email:
Get new posts by email:

Join 97,426 other subscribers

Archives